Revised ISO 14644 Cleanroom Standards now available from IEST

Published: 3-Sep-2015

Revisions now in the final voting stage and could be adopted as early as next month


After a struggle for consensus that spanned decades, the revisions of the cornerstone standards that classify and provide monitoring specifications for cleanrooms are now in final voting stage and available through the Institute of Environmental Sciences and Technology (IEST). The standards—ISO/FDIS 14644 (Ed. 2) Cleanrooms and controlled environments, Part 1: Classification of air cleanliness by particle concentration and Part 2: Monitoring to provide evidence of cleanroom performance related to air cleanliness by particle concentration—are now Final Draft International Standards and could be adopted for global use as early as October 2015.

The 14644-1 and -2 Standards are so critical to the estimated US$14bn cleanroom industry that the revision efforts by the lead ISO/TC 209 Working Group lasted more 10 years, with the ISO Technical Management Board providing a perhaps unprecedented second extension of the drafting period. The Standards are also being voted for concurrent adoption as American National Standards and could take effect in tandem with an international approval.

ISO 14644-1 specifies classes of air cleanliness for the world’s cleanrooms and controlled environments in terms of the number of particles expressed as a concentration in air volume. To determine the class, a specified testing method is required, which includes selection of sampling locations. A major focus in revising the first ISO 14644-1 Standard (1999) was development of a refined statistical approach regarding the selection and number of sampling locations. The new revision discards the previous assumption that particle counts follow a similar distribution across the room in order to allow more accurate sampling where particle counts may vary in a more complex pattern.

This revised assumption made its debut in the first Draft International Standard revision, ISO/DIS14644-1, in 2010. That version received more than 200 comments during global voting by ISO/TC 209 national member body experts. A second, revised DIS version was distributed in 2014 and received 170 comments, with approximately 40% recorded as editorial rather than technical. No technical comments are allowed during the FDIS voting stage, with only a yes/no/abstain vote accepted.

Global comments during the 2014 vote indicated a need for further understanding regarding the revised statistical method, and additional clarification is included in the new FDIS. Another new revision in the FDIS version addresses the issues surrounding ≥5μm particle limits for ISO Class 5 in the sterile products annexes of the EU, PIC/S, and WHO GMPs. An adaptation of the macroparticle descriptor has been added to accommodate the particle size.

ISO 14644-2 emphasises the need to consider a monitoring strategy in addition to the execution or evaluation of the classification provisions of ISO 14644-1. The requirements of a monitoring plan are detailed, including additional guidance on risk assessment as part of an informative annex. The mission of ISO/TC 209 is to develop international standards for cleanrooms and associated controlled environments encompassing standardisation of equipment, facilities, and operational methods. The committee defines procedural and operational limits and testing procedures to achieve desired attributes to minimise contamination. IEST serves as the Secretariat for ISO/TC 209 and is also the Administrator for the United States Technical Advisory Group (US TAG) to ISO/TC 209.

You may also like